Verible Tips

はじめに

Verilog の lint checker, formatter である Verible の tips を記す。

規則が記載されているページの URL

下記のページに規則の記述方法が記されている。Web で下記のページをヒットさせるためのキーワードは verible lint option "param" である。

verible/verilog/tools/lint at master · chipsalliance/verible (github.com)

投稿者: motchy

An embedded software and FPGA engineer for measuring instrument.

コメントを残す